英特尔公布了现在到2025年以后的封装和工艺路线图

原文链接:Intel packaging & process roadmap to 2025 and beyond 由Jean-Luc Aufranc撰写。

英特尔在保持新工艺生产进度方面的能力有好有坏,比如他们的10nm工艺,在最终部署到芯片上之前就拖延了很多年。

不过,我猜想他们这种局面未来可能会有所改变。因为,最近英特尔举办了一场活动,在活动中公布了他们从现在到2025年,甚至还要往后的的工艺和封装路线图。包括7nm、4nm、3nm,甚至还有预计在2024/2025年出现的20A工艺代表的亚微米级(1A=0.1纳米)。

面向2025年及以后的英特尔工艺路线图

英特尔的历史和未来工艺技术
英特尔的历史和未来工艺技术

在流程时间节点方面,以下是未来几年的预期:

  • 英特尔7每瓦性能会提高10%至15%,并将于2021年在Alder Lake为客户提供服务,在Sapphire Rapids为数据中心提供服务。后者预计会在2022年第一季度投入生产。
  • 英特尔4每瓦性能将会比英特尔7提高20%,并且是第一个使用极紫外光刻技术(EUV)的英特尔FinFET节点,它涉及“高度复杂的透镜和反射镜光学系统,聚焦13.5nm的波长光会在硅上打印出令人难以置信的小特征”。英特尔4预计在2022年下半年投入生产,用于2023年出货的产品。包括用户客户服务的Meteor Lake和Granite Rapids的数据中心。
  • 与英特尔4相比,英特尔3预计每瓦性能可以提升18%,在2023年下半年会开始用于生产产品。不过,目前英特尔尚未宣布哪些处理器系列将受益于这个新工艺节点。
  • 英特尔20A预计在2024年出现,采用的是RibbonFET和PowerVia技术。RibbonFET是一种环栅晶体管,可提供更快的晶体管开关速度。与FinFET相比,它能够以更小的占位面积实现与多个鳍相同的驱动电流。PowerVia是背面供电的一种实现方式,无需在晶圆正面进行电源布线,而且可以提供优化的信号布线,同时减少压降和噪声。
  • 英特尔18A已经在开发中,它是对RibbonFET的改进。在2025年,这将会实现晶体管性能的又一次“重大飞跃”。

相关视频链接:https://youtu.be/t6Y41zdO3Pc

此次活动,营销团队似乎也有参与。因为Intel7以前是被称为“10nm Enhanced SuperFin”的,而Intel4以前的名字叫“Intel 7nm”工艺节点。我想他们应该是有所修改了。我觉得这应该是取决于你如何测量它,以前,英特尔解释说这是因为竞争对手有不同测量晶体管尺寸的方法

英特尔封装创新

下一代封装技术:EMIB和Foveros
下一代封装技术:EMIB和Foveros

另外,此次活动英特尔还谈到了封装创新,比如在即将上市的EOL Lakefield处理器发现使用了现有的Foveros 3D堆叠技术

  • 用于Intel Xeon Sapphire Rapids数据中心的EMIB(嵌入式多芯片互连桥)。下一代EMIB将从55微米凸点间距变为45微米。
  • Foveros并不完全像我们刚提到的那样,但第二代3D堆叠技术确实会出现在MeteorLake客户端产品中。其凸点间距为36微米,瓦片跨越多个技术节点,热设计功率范围从5W到125W。
  • FoverosOmni是建立在Foveros技术基础上的,它允许对芯片进行分解,并将多个顶部芯片瓦片与多个基础芯片片在混合晶圆厂节点上混合。基于FoverosOmni的处理器应该会于2023年开始量产。
  • FoverosDirect将与FoverosOmni互补,并在大约同一时间准备就绪。它依赖于低电阻互连的直接铜对铜键合,支持亚10微米的凸点间距,还可以为3D堆叠提供了一个数量级的互连密度增加。

更多详细信息可以在英特尔网站以及Anandtech上找到,其中可以查看到新的英特尔工艺,而且可以与台积电、三星甚至IBM工艺等进行比较。

分享这篇文章
订阅评论
提醒
0 评论
内联反馈
查看所有评论